Reliable Radix-4 Complex Division for Fault-Sensitive Applications

Document Type

Article

Publication Date

4-2015

Keywords

concurrent error detection (CED), FPGA, recomputing with shifted operands (RESO), SRT-division

Digital Object Identifier (DOI)

https://doi.org/10.1109/TCAD.2015.2394389

Abstract

Complex division is commonly used in various applications in signal processing and control theory including astronomy and nonlinear RF measurements. Nevertheless, unless reliability and assurance are embedded into the architectures of such structures, the sub-optimal (and thus erroneous) results could undermine the objectives of such applications. As such, in this paper, we present schemes to provide complex number division architectures based on Sweeney, Robertson, and Tocher-division with error detection mechanisms. Different error detection architectures are proposed in this paper which can be tailored based on the eventual objectives of the designs in terms of area and time requirements, among which we pinpoint carefully the schemes based on recomputing with shifted operands to be able to detect faults based on recomputations for different operands in addition to the unified parity (simplified detecting code) and hardware redundancy approach. The design also implements a minimized look up table approach which favors in error detection based designs and provides high fault coverage with relatively-low overhead. Additionally, to benchmark the effectiveness of the proposed schemes, extensive error detection assessments are performed for the proposed designs through fault simulations and field-programmable gate array (FPGA) implementations; the design is implemented on Xilinx Spartan-6 and Xilinx Virtex-6 FPGA families.

Was this content written or created while at USF?

No

Citation / Publisher Attribution

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 34, issue 4, p. 656-667

Share

COinS